-
HDMI和像素时钟| FPGA
嘿, 我想从我的Spartan 7(FPGA)中获得HDMI信号。<br/> 分辨率:640 x 480 @ 60 Hz,25.2MHz用于我的像素时 -
如何在VHDL中实现Fisher-Yates随机播放?
我一直在尝试实现可综合VHDL代码以执行Fisher-Yates随机播放的方法,但是,我似乎无法在VHDL中开发逻辑。 -
VHDL实现互斥或数据功能
我正在尝试将简单的代码打包到一个函数中。 这是VHDL代码: <pre><code>process(CLK, RST) variable newdata : -
分频器和随后的信号边缘检测
我对VHDL编程领域非常陌生,在实现分频器以及检测信号沿时遇到问题。该代码由6个按钮输入组成,每个 -
地址生成器:位交换问题(VHDL)
我想做的是以下事情: 为了生成适当的输出,我必须向我的输入中添加一个值(2 ^(stage-small_p + 1 -
VHDL-如何比较两个bit_vector进行动态表查找
我在两个信号中存储两个表。一个表保留键(地址),而另一个表保留与键对应的值。我需要将输入与 -
是否有有关VHDL的推荐样式指南或快速参考表?
我写VHDL已有很短的时间了,所以我觉得这是一个开始确保我的编码风格不错的好时机。我很难找到任何 -
FPGA的低通滤波器
我正在尝试使用VHDL在FPGA中实现低通滤波器。现在,我算出了所有滤波器系数,但是由于它们不是整数, -
VHDL中的SDRAM控制器
我必须为VHDL中的课程开发一个SDRAM控制器。但这是我第一次使用VHDL,它具有非常重要的学习曲线。 < -
如何在vhdl中获取索引?
如何在vhdl中找到isW(4)的索引,因为我不需要value,只需要索引? <a href="https://i.stack.imgur.com/TWZUO.png" re -
VHDL运行模拟并在信号上显示“ X”
我正在为一个项目实现一个相对简单的模块,并且在模拟测试台时似乎遇到了麻烦。当我将一个初始值 -
VHDL音频项目
我正在尝试在Nexys 4 DDR板上进行学校项目。我感兴趣的项目分为两个部分: <ol> <li> 使用板上内置 -
我的第一个VHDL测试代码有什么错误?
<pre><code>library ieee; use ieee.std_logic_1164.all; entity test is port ( SW : in std_logic_vector(1 downto 0); LEDR : out st -
是否还会产生支持?
我一直在搜索,但是找不到任何有用的信息,所以我想它不受支持... 我有一个类似的结构 <pre -
VHDL-带有复位的推断锁存器-FSM
我在此过程中遇到问题,如果我包含reset语句,则会得到一个推断出的闩锁。但是,如果不包含reset语句 -
VHLD正式端口没有实际值或默认值,没有通用映射
我遇到一个错误,提示“错误:正式端口'reset'没有实际值或默认值”。该错误显示在显示“ uut:Lab4” -
VHDL空文件句柄
我有一个过程(仅测试台,不可综合),该过程通过AXIS接口接收数据并将其写入字节数组。我还希望该 -
如何使用VHDL通用计数器我已在我的代码中给出了如何创建正常的测试平台
我正在学习VHDL,并尝试使用该通用计数器来处理时钟信号。作业包括以安全的方式模拟交通信号灯,同 -
VHDL LR移位器通报不更新
我在这里有我的代码,但是当我运行TB时,我遇到的问题是,当我离开左='1'并且时钟有另一个上升沿时 -
使用自定义程序包的VHDL
我制作了一个自定义程序包,并尝试在我的代码中使用它。由于某种原因,它无法识别我在包中声明的 -
读取Vivado中的内部信号
我想知道是否有一种方法可以从测试台读取DUT的内部信号?我不是在讨论对信号进行采样,而是要像在tc -
VHDL代码中的语法错误在哪里?
我正在用vhdl编写方波发生器,只是感到困惑,为什么在LUT声明中出现语法错误(第21行)。我花了数小 -
来自自己实体的VHDL阵列
是否可以声明包含自己的实体的数组? 我试图获取16个寄存器(4位地址),并希望使用数组访问 -
我如何在ModelSim中使用通用数组类型?
这是我的第一个问题,我真的希望你能帮助我 <strong>编辑2019年12月3日:</strong> 我们已经解 -
在SystemVerilog Testbench中包含VHDL软件包
我有这个Systemverilog测试平台,我想在其中使用以VHDL编写的软件包。 当我执行以下操作时:<code>'includ -
错误(10558):小键盘上的VHDL错误。vhd(53):无法将模式“输出”的形式端口“代码”与表达式关联
<blockquote> 错误(10482):小键盘上的VHDL错误。vhd(53):使用了对象“代码”,但 未声明< -
VHDL中的功能
我正在尝试从多个组件读取输出,并以整数形式显示它们。输出均为4位向量。由于我不想重复多次代码 -
VHDL-高阻抗的使用
我开始学习VHDL,目前正在按照一本书的建议进行操作,该书建议使用带缓冲区的4至8多路复用器。因此 -
VHDL;如何在受约束的数组中约束不受约束的std_logic_vector
考虑以下 <pre><code>type foo is (A, B, C); type foo_vector is array (foo) of std_logic_vector; </code></pre> 如何约束<em -
VHDL综合警告
我不知道我的问题是什么,我得到了警告 我的语法没有问题,但是我想知道如何分配输入值来滚动。 谢