SystemVerilog 类中定义的任务的 DPI-C 导出

是否可以将 SystemVerilog 类中定义的任务导出到 C 中,如下所示?

class packet_bfm_t;
    int id = 0;

    export "DPI-C" task send; // Is this possible and legal to call from C code?

    function new (int my_id = 0);
      id = my_id;
    endfunction : new

    task send (int data);
      #1ns;
      $display ("data = %h",data);
    endtask : send

endclass : packet_bfm_t
perce 回答:SystemVerilog 类中定义的任务的 DPI-C 导出

DPI 不允许导出类方法。与从 C 调用 C++ 类方法相同的问题。您必须定义和导出使用 Id 或在表中查找类对象所需的任何内容的非类任务包装器。您可以在该类对象上调用该方法。

本文链接:https://www.f2er.com/1034051.html

大家都在问