带凿子的矩阵乘法器

我想用Chisel描述一个矩阵乘法器,但是有些事情我不理解。

首先,我发现that response给出了3X5矩阵乘法器的代码。我想将其推广到128X128以下的任何方阵。我知道在Chisel中,我可以通过给模块提供大小参数来对模块进行参数化(这样,我将使用n.W而不是定义的大小)。 但是最终,会生成Verilog文件,对吗?那么参数必须固定吗?我可能会混淆一些事情。我的目的是对代码进行修改,使其能够执行高达128x128的任何矩阵乘法,而且我不知道在技术上是否可行。

Hh708870464 回答:带凿子的矩阵乘法器

凿子的优点是可以对所有参数进行参数化。话虽这么说,但是当您使物理硬件变得明显时,该参数应该是固定的。对其进行参数化的好处是,如果您不知道自己的确切要求(例如可用的裸片面积等),则可以准备好参数化的版本,并且当需要时插入所需的值并生成verilog文件对于该参数。并回答您的问题,是的,可以执行高达128x128的任何矩阵乘法(如果您的笔记本RAM足够,则可以执行更多操作)。仅当创建硬件驱动程序this tells you how to create verilog from chisel时,您才能获得Verilog,因此继续创建参数化的硬件。

本文链接:https://www.f2er.com/3056795.html

大家都在问